اگر مک بوک و یا ایمک با پردازنده ام وان دارید و به دنبال اجرای کد های vhdl و مشاهده سیگنال های خروجی ان هستید این مطلب برای شما مفید است چرا که ام وان از نرم افزار های سخت افزاری چون modelsim پشتیبانی نمی کند .
ابتدا کد vhdl خود به همراه تست بنچ ان را در یکی از ادیتور های دلخواه بنویسید و پسوند انرا vhd قرار دهید .
سپس چون برای شبیه سازی کد های vhdl در m1 از ghdl استفاده می کنیم در ادامه به سراغ نصب ان می رویم
برای این کار لینک زیر را در ترمینال مک اجرا می کنیم :
wget http://sourceforge.net/projects/ghdl-updates/files/Builds/ghdl-0.31/Debian/ghdl_0.31-2wheezy1_amd64.deb
sudo dpkg -i ghdl_0.31-2wheezy1_amd64.deb
سپس دستورات زیر را به ترتیب در ترمینال اجرا می کنیم توجه داشته باشید که در دایرکتوری کد مورد نظر قرار داشته باشید
ghdl -a filename.vhd
ghdl -a filename_testbench.vhd
ghdl -e filename_testbench
ghdl -r filename_testbench --wave=filename_testbench.ghw
open -a gtkwave filename_testbench.ghw
در ادامه لینک های مرجع در اختیار شما قرار گرفته است .